作业帮 > 综合 > 作业

VHDL中,定义了信号signal address : std_logic_vector(7 downto 0);

来源:学生作业帮 编辑:百度作业网作业帮 分类:综合作业 时间:2024/07/14 16:34:25
VHDL中,定义了信号signal address : std_logic_vector(7 downto 0);
进程中有语句
address
VHDL中,定义了信号signal address : std_logic_vector(7 downto 0);
进程中有语句
address
再问: 上边那个不能吧?不是说进程结束之后才会把address+1赋给address吗?那样的话to_rom就是address而不是address+1了呀?
再答: 上边那个不能吧?不是说进程结束之后才会把address+1赋给address吗?那样的话to_rom就是address而不是address+1了呀? 你说的没错,不过进程结束后address 比之前多了1。 也实现了+1的功能,具体看你想要什么值了,这两个写法的区别就这点。 一个是在下一个时钟周期的时候+1,再赋值,另一个是直接把+1后的值赋给to_rom