作业帮 > 综合 > 作业

multisim中的字发生器如何产生相位相差90度的两个方波

来源:学生作业帮 编辑:百度作业网作业帮 分类:综合作业 时间:2024/08/13 07:02:35
multisim中的字发生器如何产生相位相差90度的两个方波
multisim中的字发生器如何产生相位相差90度的两个方波
设置rise/falltime 就行了

再问: 不是用函数信号发生器产生,而是用字信号发生器产生相位相差90度的两个方波,拜托再帮忙想想,不胜感激!
再答: 选二进制 一个输出脚为8位, 一个设置成00001111 另一个设置成11000011 就行了
再问: 麻烦再说详细一些好吗?还是不太明白,非常感谢!